Search results

Search for "direct writing" in Full Text gives 26 result(s) in Beilstein Journal of Nanotechnology.

Graphene removal by water-assisted focused electron-beam-induced etching – unveiling the dose and dwell time impact on the etch profile and topographical changes in SiO2 substrates

  • Aleksandra Szkudlarek,
  • Jan M. Michalik,
  • Inés Serrano-Esparza,
  • Zdeněk Nováček,
  • Veronika Novotná,
  • Piotr Ozga,
  • Czesław Kapusta and
  • José María De Teresa

Beilstein J. Nanotechnol. 2024, 15, 190–198, doi:10.3762/bjnano.15.18

Graphical Abstract
  • : direct writing; dwell time; electron dose; etching; graphene; maskless lithography; nanopatterning; Introduction The discovery of extraordinary and controllable electrical conductivity in graphene back in 2004 made it the most recognized 2D material [1]. The newly discovered phenomena, such as
PDF
Album
Full Research Paper
Published 07 Feb 2024

A combined gas-phase dissociative ionization, dissociative electron attachment and deposition study on the potential FEBID precursor [Au(CH3)2Cl]2

  • Elif Bilgilisoy,
  • Ali Kamali,
  • Thomas Xaver Gentner,
  • Gerd Ballmann,
  • Sjoerd Harder,
  • Hans-Peter Steinrück,
  • Hubertus Marbach and
  • Oddur Ingólfsson

Beilstein J. Nanotechnol. 2023, 14, 1178–1199, doi:10.3762/bjnano.14.98

Graphical Abstract
  • nanostructures are critical for the enhancement of absorption and controlled scattering of light [10]. Focused-electron-beam-induced deposition (FEBID) is a direct writing method for controlled deposition/fabrication of nanostructures on either flat or nonflat surfaces. It offers excellent shape control and thus
PDF
Album
Supp Info
Full Research Paper
Published 06 Dec 2023

Temperature and chemical effects on the interfacial energy between a Ga–In–Sn eutectic liquid alloy and nanoscopic asperities

  • Yujin Han,
  • Pierre-Marie Thebault,
  • Corentin Audes,
  • Xuelin Wang,
  • Haiwoong Park,
  • Jian-Zhong Jiang and
  • Arnaud Caron

Beilstein J. Nanotechnol. 2022, 13, 817–827, doi:10.3762/bjnano.13.72

Graphical Abstract
  • , on the one hand, and their non-toxicity and low vapor pressure, on the other hand. Room-temperature-liquid Ga-based alloys are considered for direct writing and printing stretchable and flexible electronic devices, such as antennas or wires [5][6][7]. Such applications and the related processing of
PDF
Album
Full Research Paper
Published 23 Aug 2022

Uniform arrays of gold nanoelectrodes with tuneable recess depth

  • Elena O. Gordeeva,
  • Ilya V. Roslyakov,
  • Alexey P. Leontiev,
  • Alexey A. Klimenko and
  • Kirill S. Napolskii

Beilstein J. Nanotechnol. 2021, 12, 957–964, doi:10.3762/bjnano.12.72

Graphical Abstract
  • direct-writing using electron beam lithography [11][12] or ion beam milling [13][14]) are limited by the ensemble area and expensive in mass production, but allow one to precisely tune the parameters of an array (a geometry of individual electrodes and the distance between them) over a wide range. An
PDF
Album
Full Research Paper
Published 30 Aug 2021

The patterning toolbox FIB-o-mat: Exploiting the full potential of focused helium ions for nanofabrication

  • Victor Deinhart,
  • Lisa-Marie Kern,
  • Jan N. Kirchhof,
  • Sabrina Juergensen,
  • Joris Sturm,
  • Enno Krauss,
  • Thorsten Feichtner,
  • Sviatoslav Kovalchuk,
  • Michael Schneider,
  • Dieter Engel,
  • Bastian Pfau,
  • Bert Hecht,
  • Kirill I. Bolotin,
  • Stephanie Reich and
  • Katja Höflich

Beilstein J. Nanotechnol. 2021, 12, 304–318, doi:10.3762/bjnano.12.25

Graphical Abstract
  • including, but not limited to, the direct writing of defects to act as nuclei for epitaxial growth [25], the fabrication of two-dimensional phononic crystals [26], the magnetic patterning of suspended Co/Pt multilayers, the fabrication of two-dimensional mechanical resonators based on single-layer graphene
PDF
Album
Supp Info
Full Research Paper
Published 06 Apr 2021

3D superconducting hollow nanowires with tailored diameters grown by focused He+ beam direct writing

  • Rosa Córdoba,
  • Alfonso Ibarra,
  • Dominique Mailly,
  • Isabel Guillamón,
  • Hermann Suderow and
  • José María De Teresa

Beilstein J. Nanotechnol. 2020, 11, 1198–1206, doi:10.3762/bjnano.11.104

Graphical Abstract
  • . Helium ion microscopy in combination with a precursor gas can be used for direct writing of three-dimensional nanostructures with a precise control of their geometry, and a significantly higher aspect ratio than other additive manufacturing technologies. We report here on the deposition of 3D hollow
  • dramatically, mostly due to the complex fabrication and characterization. A technique successfully utilized for fabricating 3D nano-objects is direct writing by a focused beam of positively charged particles, the so-called focused-ion-beam induced deposition (FIBID) [20]. A very promising development of FIBID
  • relatively large Ga+ beam diameter (approx. 5 nm) and a high proximity effect generated by Ga+ ion scattering. Regarding a higher spatial resolution, the helium ion microscope (HIM) [27], based on a gas field-ionization source, has emerged as a tool for direct writing of complex 3D nano-objects taking
PDF
Album
Supp Info
Full Research Paper
Published 11 Aug 2020

Hexagonal boron nitride: a review of the emerging material platform for single-photon sources and the spin–photon interface

  • Stefania Castelletto,
  • Faraz A. Inam,
  • Shin-ichiro Sato and
  • Alberto Boretti

Beilstein J. Nanotechnol. 2020, 11, 740–769, doi:10.3762/bjnano.11.61

Graphical Abstract
PDF
Album
Review
Published 08 May 2020

Development of a new hybrid approach combining AFM and SEM for the nanoparticle dimensional metrology

  • Loïc Crouzier,
  • Alexandra Delvallée,
  • Sébastien Ducourtieux,
  • Laurent Devoille,
  • Guillaume Noircler,
  • Christian Ulysse,
  • Olivier Taché,
  • Elodie Barruet,
  • Christophe Tromas and
  • Nicolas Feltin

Beilstein J. Nanotechnol. 2019, 10, 1523–1536, doi:10.3762/bjnano.10.150

Graphical Abstract
  • silicon wafers. The technique is based on using a direct-writing system (Raith-Vistec EBPG 5000+ electron-beam lithography system) and PMMA resist. After developing, the mask is transferred using RIE (reactive-ion etching). The P900H60 grating is used as a transfer standard and was calibrated by means of
  • formed by four crosses with a letter (from A to Y) nearby (Figure 3) for an easy localization of NPs to be analyzed. The distance between two crosses is 15 µm to allow the AFM to scan a complete square on a single image. As detailed above, the production method is based on lithography using a direct
  • -writing system and a PMMA resin in which various patterns are then made by reactive ion etching (RIE). Some tests were also carried out with lift-off techniques for metallic deposition, but the results were found to be less conclusive for the deposit. Results and Discussion Repeatability of AFM and SEM
PDF
Album
Full Research Paper
Published 26 Jul 2019

Advanced scanning probe lithography using anatase-to-rutile transition to create localized TiO2 nanorods

  • Julian Kalb,
  • Vanessa Knittel and
  • Lukas Schmidt-Mende

Beilstein J. Nanotechnol. 2019, 10, 412–418, doi:10.3762/bjnano.10.40

Graphical Abstract
  • direct writing method, and scratching influences only a very thin region at the sample surface and the majority of the seed film remains unchanged. As a consequence, this method can be applied to ultrathin films of a few nanometers thickness only. Third, this technique does not need any pre- and post
  • into rutile nanoparticles providing the growth of rutile nanorods locally. Hence, this direct writing method affects only the surface of the anatase films, and it can be applied to ultrathin films as well as to bulk or pre-structured samples. Other possible growth promoting effects such as surface
PDF
Album
Supp Info
Full Research Paper
Published 08 Feb 2019

Biomimetic surface structures in steel fabricated with femtosecond laser pulses: influence of laser rescanning on morphology and wettability

  • Camilo Florian Baron,
  • Alexandros Mimidis,
  • Daniel Puerto,
  • Evangelos Skoulas,
  • Emmanuel Stratakis,
  • Javier Solis and
  • Jan Siegel

Beilstein J. Nanotechnol. 2018, 9, 2802–2812, doi:10.3762/bjnano.9.262

Graphical Abstract
  • surface topography needs to be mimicked, but often also a specific function of the structure. An alternative approach to laser direct writing of complex structures is the generation of laser-induced periodic surface structures (LIPSS), which is based on directed self-organization of the material and
PDF
Album
Supp Info
Full Research Paper
Published 05 Nov 2018

Pattern generation for direct-write three-dimensional nanoscale structures via focused electron beam induced deposition

  • Lukas Keller and
  • Michael Huth

Beilstein J. Nanotechnol. 2018, 9, 2581–2598, doi:10.3762/bjnano.9.240

Graphical Abstract
  • plating [7], to name a few. In this work, focused electron beam induced deposition [8] (FEBID) is used as a mask-less direct-writing technique that allows for the deposition of structures with a resolution of less than 10 nm in 2D [9][10]. The working principle of FEBID is as follows: A substrate, or any
PDF
Album
Supp Info
Full Research Paper
Published 27 Sep 2018

High-throughput synthesis of modified Fresnel zone plate arrays via ion beam lithography

  • Kahraman Keskinbora,
  • Umut Tunca Sanli,
  • Margarita Baluktsian,
  • Corinne Grévent,
  • Markus Weigand and
  • Gisela Schütz

Beilstein J. Nanotechnol. 2018, 9, 2049–2056, doi:10.3762/bjnano.9.194

Graphical Abstract
  • -resolution imaging and lithography at short wavelengths. Their fabrication requires nano-machining capabilities with exceptional precision and strict tolerances such as those enabled by modern lithography methods. In particular, ion beam lithography (IBL) is a noteworthy method thanks to its robust direct
  • writing/milling capability. IBL allows for rapid prototyping of high-resolution FZPs that can be used for high-resolution imaging at soft X-ray energies. Here, we discuss improvements in the process enabling us to write zones down to 15 nm in width, achieving an effective outermost zone width of 30 nm
PDF
Album
Supp Info
Full Research Paper
Published 25 Jul 2018

A novel copper precursor for electron beam induced deposition

  • Caspar Haverkamp,
  • George Sarau,
  • Mikhail N. Polyakov,
  • Ivo Utke,
  • Marcos V. Puydinger dos Santos,
  • Silke Christiansen and
  • Katja Höflich

Beilstein J. Nanotechnol. 2018, 9, 1220–1227, doi:10.3762/bjnano.9.113

Graphical Abstract
  • . In conclusion, this study presents a promising novel copper precursor compound for focused electron beam induced deposition which is well-suited for direct writing of three-dimensional device parts. Experimental The deposition experiments were carried out in a Tescan electron microscope MIRA
PDF
Album
Supp Info
Full Research Paper
Published 18 Apr 2018

Towards the third dimension in direct electron beam writing of silver

  • Katja Höflich,
  • Jakub Mateusz Jurczyk,
  • Katarzyna Madajska,
  • Maximilian Götz,
  • Luisa Berger,
  • Carlos Guerra-Nuñez,
  • Caspar Haverkamp,
  • Iwona Szymanska and
  • Ivo Utke

Beilstein J. Nanotechnol. 2018, 9, 842–849, doi:10.3762/bjnano.9.78

Graphical Abstract
  • ]. The identification of such novel precursor compounds for FEBID is a subject of intense research since direct writing of 3D materials and nanodevices can advance diverse applications, for example in the field of plasmonics [17][18][19]. One ideal plasmonic material is silver, which exhibits strongly
PDF
Album
Letter
Published 08 Mar 2018

Electron interactions with the heteronuclear carbonyl precursor H2FeRu3(CO)13 and comparison with HFeCo3(CO)12: from fundamental gas phase and surface science studies to focused electron beam induced deposition

  • Ragesh Kumar T P,
  • Paul Weirich,
  • Lukas Hrachowina,
  • Marc Hanefeld,
  • Ragnar Bjornsson,
  • Helgi Rafn Hrodmarsson,
  • Sven Barth,
  • D. Howard Fairbrother,
  • Michael Huth and
  • Oddur Ingólfsson

Beilstein J. Nanotechnol. 2018, 9, 555–579, doi:10.3762/bjnano.9.53

Graphical Abstract
  • metal is usually realized by using multiple injection systems [62][63][64][65]. Recently, an alternative strategy based on heterometallic HFeCo3(CO)12 precursor species has been demonstrated, which allows for direct writing of nanoscale deposits with high resolution, predefined metal ratio and high
PDF
Album
Supp Info
Full Research Paper
Published 14 Feb 2018

Gas-assisted silver deposition with a focused electron beam

  • Luisa Berger,
  • Katarzyna Madajska,
  • Iwona B. Szymanska,
  • Katja Höflich,
  • Mikhail N. Polyakov,
  • Jakub Jurczyk,
  • Carlos Guerra-Nuñez and
  • Ivo Utke

Beilstein J. Nanotechnol. 2018, 9, 224–232, doi:10.3762/bjnano.9.24

Graphical Abstract
  • resolution of a few micrometers was obtained for three dimensional silver pillars [15]. It is therefore of high interest to test further silver precursors for gas-assisted high-resolution direct writing of structures. We have chosen the perfluorinated silver complex, silver(I) pentafluoropropionate
PDF
Album
Supp Info
Full Research Paper
Published 19 Jan 2018

Direct writing of gold nanostructures with an electron beam: On the way to pure nanostructures by combining optimized deposition with oxygen-plasma treatment

  • Domagoj Belić,
  • Mostafa M. Shawrav,
  • Emmerich Bertagnolli and
  • Heinz D. Wanzenboeck

Beilstein J. Nanotechnol. 2017, 8, 2530–2543, doi:10.3762/bjnano.8.253

Graphical Abstract
  • direct writing of purer gold nanostructures that can enable their future use for demanding applications. Keywords: FEBID; gold nanostructures; oxygen plasma; postdeposition purification; Introduction Focused electron beam induced deposition (FEBID) is an additive direct-write method for making complex
  • well as in nanomedicine [30]. Many applications require precise positioning of Au structures on the nanoscale, e.g., for fabrication of interconnects [31][32] and field emission tips [33] or in direct writing of plasmonic and photonic nanostructures and devices [4][34][35][36][37][38][39][40]. We
  • degree. This requirement is set in order to fully exploit the initial advantage of FEBID over other deposition techniques – direct writing of patterned structures on the nanoscale. Taking this constraint into consideration, it is immediately clear that a substantial removal of carbonaceous material from
PDF
Album
Supp Info
Full Research Paper
Published 29 Nov 2017

Electron beam induced deposition of silacyclohexane and dichlorosilacyclohexane: the role of dissociative ionization and dissociative electron attachment in the deposition process

  • Ragesh Kumar T P,
  • Sangeetha Hari,
  • Krishna K Damodaran,
  • Oddur Ingólfsson and
  • Cornelis W. Hagen

Beilstein J. Nanotechnol. 2017, 8, 2376–2388, doi:10.3762/bjnano.8.237

Graphical Abstract
  • attachment; dissociative ionization; electron beam induced deposition; low-energy electrons; silacyclohexane; Introduction Focused electron beam induced deposition (FEBID) [1][2] is a 3-D direct writing method suitable for the fabrication of nanostructures, even on non-planar surfaces. This approach is in
PDF
Album
Full Research Paper
Published 10 Nov 2017

Identifying the nature of surface chemical modification for directed self-assembly of block copolymers

  • Laura Evangelio,
  • Federico Gramazio,
  • Matteo Lorenzoni,
  • Michaela Gorgoi,
  • Francisco Miguel Espinosa,
  • Ricardo García,
  • Francesc Pérez-Murano and
  • Jordi Fraxedas

Beilstein J. Nanotechnol. 2017, 8, 1972–1981, doi:10.3762/bjnano.8.198

Graphical Abstract
  • other processes are based on direct writing (one-step) methods, thus avoiding the use of a resist. The selected methods are EBL (middle in Figure 1) and parallel oxidation nanolithography [18] (PON) (bottom of the Figure), respectively. The PON method is performed by contacting a conductive mold with
  • incompletely covered PS–OH substrate. Direct writing We discuss here the results obtained with resistless lithography methods, namely EBL [12] and PON [18]. Figure 6a shows a comparison between C 1s XPS spectra of three PS–OH brush layer treated surfaces after annealing at 230 °C and cooling in nitrogen
  • oxygen plasma in order to chemically modify the exposed areas and thus change their chemical affinity. The two other processes are based on direct writing methods, thus avoiding the use of a resist. In the first case, the sample was exposed directly to an electron beam which modifies the chemical
PDF
Album
Supp Info
Full Research Paper
Published 21 Sep 2017

A biofunctionalizable ink platform composed of catechol-modified chitosan and reduced graphene oxide/platinum nanocomposite

  • Peter Sobolewski,
  • Agata Goszczyńska,
  • Małgorzata Aleksandrzak,
  • Karolina Urbaś,
  • Joanna Derkowska,
  • Agnieszka Bartoszewska,
  • Jacek Podolski,
  • Ewa Mijowska and
  • Mirosława El Fray

Beilstein J. Nanotechnol. 2017, 8, 1508–1514, doi:10.3762/bjnano.8.151

Graphical Abstract
  • be incorporated into polymer–graphene nanocomposites [4], gaining the additional properties of the polymer matrix, in addition to easing handling and reducing cost. Equally important have been advances in bioprinting [5], such as micro-contact printing, laser direct writing, and inkjet printing
PDF
Album
Supp Info
Full Research Paper
Published 24 Jul 2017

Micro- and nano-surface structures based on vapor-deposited polymers

  • Hsien-Yeh Chen

Beilstein J. Nanotechnol. 2017, 8, 1366–1374, doi:10.3762/bjnano.8.138

Graphical Abstract
  • such as light-directed patterning and direct-writing approaches. The limitations for patterning on non-flat surfaces are resolution and cost. With the requirement of chemical control and/or precise accessibility to the linkage with functional molecules, chemically and topographically defined interfaces
  • attempted by direct electron beam (e-beam) lithography on vapor-deposited PPMA coatings, and 200 nm-sized features were obtained on the vapor-deposited poly(propargyl methacrylate) (PPMA) films [34]. Direct writing using a two-photon laser was also demonstrated on poly(p-xylylene) to fabricate 3D nano
  • -/microstructures [35]. Similarly, direct writing using a scanning probe microscopy-based nanolithographic technique (dip-pen nanolithography, DPN) was used to deliver chemical substances with submicrometer features on a wide range of poly(p-xylylene) deposited substrates [36]. An array of micro-sized plasma was
PDF
Album
Review
Published 04 Jul 2017

Nanoantenna-assisted plasmonic enhancement of IR absorption of vibrational modes of organic molecules

  • Alexander G. Milekhin,
  • Olga Cherkasova,
  • Sergei A. Kuznetsov,
  • Ilya A. Milekhin,
  • Ekatherina E. Rodyakina,
  • Alexander V. Latyshev,
  • Sreetama Banerjee,
  • Georgeta Salvan and
  • Dietrich R. T. Zahn

Beilstein J. Nanotechnol. 2017, 8, 975–981, doi:10.3762/bjnano.8.99

Graphical Abstract
  • real biological assays. Experimental The uniform periodic arrays of linear Au nanoantennas with length 900 and 1900 nm and period of 5 µm were fabricated on (001)-oriented Si substrates by a direct writing nanolithographic machine (Raith-150, Raith GmbH, Germany) and covers an area of 3 × 3 mm2
PDF
Album
Full Research Paper
Published 03 May 2017

Synthesis and applications of carbon nanomaterials for energy generation and storage

  • Marco Notarianni,
  • Jinzhang Liu,
  • Kristy Vernon and
  • Nunzio Motta

Beilstein J. Nanotechnol. 2016, 7, 149–196, doi:10.3762/bjnano.7.17

Graphical Abstract
PDF
Album
Review
Published 01 Feb 2016

Near-field visualization of plasmonic lenses: an overall analysis of characterization errors

  • Jing Wang,
  • Yongqi Fu,
  • Zongwei Xu and
  • Fengzhou Fang

Beilstein J. Nanotechnol. 2015, 6, 2069–2077, doi:10.3762/bjnano.6.211

Graphical Abstract
  • elliptical slits. The focusing performance of the structures was studied before [22]. The structures can be fabricated and measured by using focused ion beam (FIB) direct writing technique and near-field scanning optical microscope (NSOM) respectively, as shown in Figure 1. However, from the point of view of
  • Figure 8. A lens with σ = 1 (circular slits) was milled using FIB direct writing. But the fabricated structure is deformed along the long (as indicated by A–B line) and the short axis (as indicated by C–D line) due to stigmation during patterning, as shown in Figure 8a. The dotted circular and elliptical
PDF
Album
Full Research Paper
Published 26 Oct 2015

Tunable magnetism on the lateral mesoscale by post-processing of Co/Pt heterostructures

  • Oleksandr V. Dobrovolskiy,
  • Maksym Kompaniiets,
  • Roland Sachser,
  • Fabrizio Porrati,
  • Christian Gspan,
  • Harald Plank and
  • Michael Huth

Beilstein J. Nanotechnol. 2015, 6, 1082–1090, doi:10.3762/bjnano.6.109

Graphical Abstract
  • film techniques or by an alternative approach, as used by us, namely the direct writing of metal-based layers by focused electron beam induced deposition (FEBID) [18][19]. The resolution of FEBID is better than 10 nm laterally and 1 nm vertically [18][19] and, thus, its proven applications range from
  • the formation of the CoPt L10 phase with strongly increased magnetic anisotropy compared to pure Co. Here, we employ direct writing of Pt and Co layers by FEBID and demonstrate by means of in situ post-processing how to locally tune the coercive field and the remanent magnetization of layered Co/Pt
PDF
Album
Full Research Paper
Published 29 Apr 2015
Other Beilstein-Institut Open Science Activities